目录
第一章 概述 ................................................................................................................................ 1
1.1 EDA的概述 .................................................................................................................... 1
1.1.1 EDA技术的发展历程 ............................................................................................ 1
1.1.2 EDA技术的基本特征 ............................................................................................ 1 1.2 EDA的设计方法 ............................................................................................................. 2
1.2.1 传统的设计方法 .................................................................................................. 2 1.2.2 现代的设计方法 .................................................................................................. 2 1.3 硬件描述语言 ................................................................................................................ 2
1.3.1 硬件描述语言(VHDL)........................................................................................ 2 1.3.2 VHDL具有的优点 ................................................................................................. 2 1.4 数字系统 ....................................................................................................................... 3
1.4.1 数字系统的概述 .................................................................................................. 3 1.4.2 数字系统的功能 .................................................................................................. 3
第二章 交通灯控制系统简介 ....................................................................................................... 4
2.1 交通灯控制系统概述...................................................................................................... 4 2.2 交通灯控制系统的功能应用 ........................................................................................... 4 2.3 交通灯控制系统设计构成............................................................................................... 4 第三章 交通灯控制系统的设计 .................................................................................................... 5
3.1 交通灯控制系统的设计要求 ........................................................................................... 5
3.2 设计思路 ....................................................................................................................... 5 3.3 设计流程 ....................................................................................................................... 6 3.4 设计步骤及程序............................................................................................................. 7
3.4.1 分频器部分的设计步骤........................................................................................ 7
3.4.2 显示部分的设计 .................................................................................................. 8 3.4.3 设计系统结构图建立工程 .................................................................................. 11 3.4.4 交通灯控制系统的仿真...................................................................................... 11 3.5 硬件实现及调试结果.................................................................................................... 12
3.5.1 器件下载编程与硬件实现 .................................................................................. 12
3.5.2 引脚配置........................................................................................................... 12 3.5.3 调制结果........................................................................................................... 13
第四章 课程设计总结 ................................................................................................................ 14 第五章 参考文献 ....................................................................................................................... 15
第一章 概述
1.1 EDA的概述
电子设计自动化(Electronic Design Automation,EDA)技术以计算机为基础工作平台,以微电子技术为物理基础,以现代电子技术设计技术为灵魂,采用计算机软件工具,最终实现电子系统或专用集成电路(Application Specific Integrated Circuit,ASIC)的设计。EDA技术科简单概括为以大规模可编程逻辑器件为设计载体,通过硬件描述语言或将逻辑图输入给相应EDA开发软件,经过编译和仿真,最终将所设计的电路下载到设计载体中,从而完成系统设计任务的一门新技术。 1.1.1 EDA技术的发展历程
EDA经历了计算机辅助设计(CAD)、计算机辅助工程设计(CAED)和电子设计自动化(EDA)三个发展历程。
EDA工具的发展经历了四个历程:一,设计输入工具的发展趋势;二,具有混合信号处理能力的EDA工具;三,仿真工具的发展;四,综合工具的发展。
在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。 1.1.2 EDA技术的基本特征
EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。
1
1.2 EDA的设计方法
1.2.1 传统的设计方法
传统的设计方法采用“由底向上”,具有以下特点:(1)设计的方向是自底向上,先设计最小的单元电路。(2)采用通用逻辑元器件。(3)在系统硬件设计的后期进行调试和仿真。(4)设计结果是多张电路图。“由底向上”的设计方法是传统的IC和PCB的设计方法。
1.2.2 现代的设计方法
EDA采用现代的设计方法--“自顶向下”的设计方法。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。采用“自顶向下”技术进行设计科分为三个主要阶段:系统设计、系统的综合优化和系统实现。
1.3 硬件描述语言
1.3.1 硬件描述语言(VHDL)
是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。
VHDL是一种全方位的硬件描述语言,包括系统行为级。寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件俄语言的功能,整个自顶向下或由底向上的电路设计过程都可以用VHDL来完成。 1.3.2 VHDL具有的优点
(1)VHDL的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重
2
心提高到了系统功能的实现与调试,而花较少的精力于物理实现。
(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑艄设计,灵活且方便,而且也便于设计结果的交流、保存和重用。
(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。
(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。
1.4 数字系统
1.4.1 数字系统的概述
数字系统是仅用数字来“处理”信息以实现计算和操作的电子系统。 1.4.2 数字系统的功能
(1)将实现世界的信息转换成数字网络可以理解的二进制“语言”。 (2)仅用数字0和1完成所要求的计算和操作。
(3)将处理的结果以我们可以理解的方式返回给现实世界。
3
第二章 交通灯控制系统简介
2.1 交通灯控制系统概述
当汽车行驶至十字交通路口时, 有3种选择: 向前, 向左转弯, 向右转弯。根据我国的交通规则规定, 汽车是靠右行驶, 向右拐弯只要走弧形的支干道即可, 不需受十字交通灯的束缚。因此,本文主要考虑前行和左转这两种情况。十字路口交通灯负责控制各走向红绿灯的状态及转换, 并且各状态之间有一定的时间过渡。同时, 东西南北每条干道上都为人行横道设置了红绿灯, 提醒过路行人在安全时刻穿越道路, 以保证行人的安全。示意图如图2.1.1所示
图2.1.1 交通示意图
2.2 交通灯控制系统的功能应用
交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。在现代化的大城市中,十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理,本系统就是基于此目的而开发的。
2.3 交通灯控制系统设计构成
城市路口交通信号控制系统大体上分为三种类型: 定周期的信号机、多时段且具有无电缆协调功能的微电脑型信号机以及联网式自适应多相位智能型信号机。具体采用哪种类型, 应根据其应用场合及特点加以确定。其中, 第一种类型以其成本低,设计简单, 安装及维护方便等特点得到了广泛应用。
4
第三章 交通灯控制系统的设计
3.1 交通灯控制系统的设计要求
1. 能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态,用两组红、
黄、绿三色灯作为两个方向的红、黄、绿灯;
2. 南北向为主干道,每次通行时间为25S,东西向为支干道,每次通行时间为15S; 3. 能实现正常的倒计时功能,用两组数码管作为东西、南北向的倒计时显示。其
中,黄灯:5S。
4. 能实现特殊状态的功能。按下SP键后,能实现以下特殊功能: (1)显示倒计时的两组数码管闪烁; (2)计数器停止计数并保持在原来的状态; (3)东西、南北路口均显示红灯状态; (4)特殊状态解除后能继续计数;
5. 能实现全清零功能。按下reset键后,系统实现全清零,计数器由初状态计数,对应状态的指示灯亮;
6. 用VHDL语言设计上述功能的交通灯控制器,并用层次化方法设计该电路; 7. 仿真、验证设计的正确性。
3.2 设计思路
交通灯控制器的电路控制原理框图如图3.2.1所示,主要包括置数器模块、定时计数器模块、主控制器模块和译码器模块。置数器模块将交通灯的点亮时间预置到置数电路中。计数器模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。核心部分是主控制模块。具体控制情况见表3.2.1。
5
图3.2.1 电路控制原理框图
状态 00 01 10 11 主干道 绿灯亮 黄灯亮 红灯亮 红灯亮 支干道 红灯亮 红灯亮 绿灯亮 黄灯亮 时间/S 20 5 10 5 表3.2.1 状态表
3.3 设计流程
由以上要求可以得到该系统的程序流程图如图3.3.1所示。其中,GA、RA、YA表示A支路的绿灯、红灯、黄灯,GB、RB、YB表示B支路的绿灯、红灯、黄灯,S表示特殊功能按键,T表示计时的时间。
6
图3.3.1 程序流程图
3.4 设计步骤及程序
3.4.1 分频器部分的设计步骤
library ieee;
use ieee.std_logic_11.all; use ieee.std_logic_unsigned.all; entity ledcontrol is
port(reset,clk,urgen :in std_logic;
state :out std_logic_vector(1 downto 0); sub,set1,set2 :out std_logic); end ledcontrol;
architecture a of ledcontrol is
signal count : std_logic_vector(6 downto 0);
7
signal subtemp : std_logic; begin
sub<=subtemp and (not clk); statelabel: process (reset,clk) begin
if reset='1' then count<=\"0000000\"; state<=\"00\";
elsif clk'event and clk='1' then
if urgen ='0' then count<=count+1;subtemp<='1';else subtemp<='0';end if; if count =0 then state<=\"00\";set1<='1';set2<='1'; elsif count =20 then state<=\"01\";set1<='1';
elsif count =25 then state<=\"10\";set1<='1'; set2<='1'; elsif count =35 then state<=\"11\";set2<='1';
elsif count =40 then count<=\"0000000\";else set1<='0'; set2<='0';end if; end if;
end process statelabel; end a;
分频器如图3.4.1所示
图3.4.1 分频器示意图
3.4.2 显示部分的设计
library ieee;
use ieee.std_logic_11.all; use ieee.std_logic_unsigned.all;
8
entity ledshow is
port(clk,urgen:in std_logic;
state:in std_logic_vector(1 downto 0); sub,set1,set2:in std_logic; r1,g1,y1,r2,g2,y2:out std_logic;
led1,led2:out std_logic_vector(7 downto 0)); end ledshow;
architecture a of ledshow is
signal count1,count2:std_logic_vector(7 downto 0); signal setstate1,setstate2:std_logic_vector(7 downto 0); signal tg1,tg2,tr1,tr2,ty1,ty2:std_logic; begin
led1<=\"11111111\"when urgen='1'and clk='0'else count1; led2<=\"11111111\"when urgen='1'and clk='0'else count2; tg1<='1'when state=\"00\"and urgen='0'else'0'; ty1<='1'when state=\"01\"and urgen='0'else'0'; tr1<='1'when state(1)='1'and urgen='1'else'0'; tg2<='1'when state=\"10\"and urgen='0'else'0'; ty2<='1'when state=\"11\"and urgen='0'else'0'; tr2<='1'when state(1)='0'or urgen='1'else'0'; setstate1<=\"00100000\"when state=\"00\"else \"00000101\"when state=\"01\"else \"00010101\";
setstate2<=\"00010000\"when state=\"10\"else \"00000101\"when state=\"11\"else \"00100101\"; label2:
9
process(sub) begin
if sub'event and sub='1' then if set2='1' then
count2<=setstate2;
elsif count2(3 downto 0)=\"0000\" then count2<=count2-7; else count2<=count2-1; end if; g2<=tg2; r2<=tr2; y2<=ty2; end if;
end process label2; label1: process(sub) begin
if sub'event and sub='1' then if set1='1' then count1<=setstate1;
elsif count1(3 downto 0)=\"0000\" then count1<=count1-7; else count1<=count1-1; end if; g1<=tg1; r1<=tr1; y1<=ty1; end if;
end process label1; end a;
显示器如图3.4.2所示
10
图3.4.2 显示器
3.4.3 设计系统结构图建立工程
系统结构图如图3.4.3所示:
图3.4.3 系统结构图
3.4.4 交通灯控制系统的仿真
在QuartusII软件中导入交通灯控制程序,对此程序编译无错误后,建立Vector waveform file 文件保存时仿真文件名要与设计文件名一致。
仿真结果如图3.4.4所示:
图3.4.4 仿真波形图
11
3.5 硬件实现及调试结果
3.5.1 器件下载编程与硬件实现
在进行硬件测试时,按键k1对应复位端reset,按键k2对应紧急开关urgent。EDA实验开发系统上的时钟cp2对应计数时钟CLK,数码管M3、M4对应东西走向的时钟显示。LED灯116、115、114对应东西走向的绿灯G1、黄灯Y1、红灯R1.数码管M1、M2对应南北走向的时钟显示。LED灯11、12、13对应南北走向的绿灯G2、黄灯Y2、红灯R2。对应的硬件结构示意图如图3.5.1所示
图3.5.1 硬件结构示意图
3.5.2 引脚配置
各引脚配置如图3.5.2所示:
12
图3.5.2各引脚配置
3.5.3 调制结果
硬件结果如图3.5.3所示:
图3.5.3 硬件实验结果
13
第四章 课程设计总结
通过本次课程设计,我熟练运用了QuartusII软件的操作,进一步加深了对EDA课程的认知。在做本次课程设计的过程中,出现了多次故障,使我发现自己在以前课堂上所做的实训内容并没有真正理解题目的内涵。在本次课程设计中我深刻理解了交通灯控制系统的工作原理。并加深了对交通灯原理和设计思路的了解。本设计采用VHDL 硬件描述语言文本输入方式,在确立总体预期实现功能的前提下,分层次进行描述,其中所用到的数据均可依现场情况而设置,修改方便灵活。硬件实验中出现了多次错误,在同学和老师的帮助下我最终完成了硬件仿真实验结果,使我加固了自己的动手能力。
数字化时代的到来给人们的生活带来了极大的改变,有理由相信随着数字化的深入,交通灯控制器的功能将日趋完善。而且VHDL 语言对EDA 产生的影响也是深远的,它缩短了电子产品的设计周期,为设计者提供了方便。
通过这次的课程设计,我进一步了解了EDA技术,收获很大,对VHDL编程、调试、硬件仿真有了进一步的深刻了解,同时也熟悉了EDA课程相关仪器设备的使用方法等技能。
14
第五章 参考文献
[1]孙加存.电子设计自动化.西安电子科技大学出版社.2011
[2]唐亚军.电子设计自动化EDA 技术[M].化学工业出版社. 2002 [3]王永军.数字逻辑与数字系统设计[M].北京电子工业出版社. 2001 [4]磷明权. VHDL 数字控制系统设计范例[M].北京电子工业出版社. 2003
15
因篇幅问题不能全部显示,请点此查看更多更全内容
Copyright © 2019- huatuo0.com 版权所有 湘ICP备2023021991号-1
违法及侵权请联系:TEL:199 1889 7713 E-MAIL:2724546146@qq.com
本站由北京市万商天勤律师事务所王兴未律师提供法律服务